728x90

 0. [verilog] - 4비트 비교기



 1. 풀이


다양한 방법이 존재하겠지만, Behavior방식으로 구현해보았다.

A와 B의 비교는 보수를 활용한 덧셈을 활용하였다.



 2. 소스코드


1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company: 
// Engineer: 
// 
// Create Date:    17:39:52 09/27/2019 
// Design Name: 
// Module Name:    four_bit_comparator 
// Project Name: 
// Target Devices: 
// Tool versions: 
// Description: 
//
// Dependencies: 
//
// Revision: 
// Revision 0.01 - File Created
// Additional Comments: 
//
//////////////////////////////////////////////////////////////////////////////////
module four_bit_comparator(A,B,AGB, EQ, ALB);
    input [3:0] A;
    input [3:0] B;
    output AGB, EQ, ALB;
     
     reg AGB, EQ, ALB;
     
     always @(*)
     begin
        if(A == B)
        begin
            AGB = 0;
            EQ = 1;
            ALB = 0;
        end
        else if(A + ~B >= 5'b01000)
        begin
            AGB = 0;
            EQ = 0;
            ALB = 1;
        end
        else
        begin
            AGB = 1;
            EQ = 0;
            ALB = 0;
        end
     end
     
endmodule
cs


 3. 참고




질문이나 지적 있으시면 댓글로 남겨주세요~

도움 되셨으면 하트 꾹!


+ Recent posts